Limbaje de dezvoltare hardware

Laborator
8/10 (1 vot)
Domeniu: Calculatoare
Conține 1 fișier: doc
Pagini : 156 în total
Cuvinte : 30821
Mărime: 759.21KB (arhivat)
Publicat de: Basarab Vieru
Puncte necesare: 0
Profesor îndrumător / Prezentat Profesorului: Diaconescu C-tin
Curs la Limbaje de descriere hardware la dl Diaconescu la ULBS SIBIU

Extras din laborator

Implementarea circuitelor logice combinaţionale cu circuite CPLD impune descrierea lor funcţională în limbaj VHDL. Se va utiliza pachetul de programe WARP6.3 care permite sinteza circuitelor logice cu circuite CPLD de tip Cypress: PLD, MAX340EPLD, FLASH370 etc.

Scopul lucrării

Se va sintetiza cu CPLD şi simula funcţional un multiplexor de tip 4:1 (4 intrări şi o ieşire). Se va generaliza metoda de implementare pentru un circuit logic combinaţional de complexitate mai mare. Schema bloc şi tabelul de adevăr asociat multiplexorului sunt prezentate în figura 1.

Fig.1: Schema bloc şi tabelul de adevăr al multiplexorului 4:1

Desfăşurarea lucrării

1. Folosind programul Galaxy din cadrul pachetului de programe WARP6.3 se va descrie în limbaj VHDL funcţionarea multiplexorului. Se vor parcurge următoarele etape:

1.1. Start Programs => WARP6.3 => Galaxy

1.2. File => New => Project [Target – device] - - sinteza MUX se va face cu un circuit CPLD

precizat în etapa 2.5

1.3. Project name: MUX4_1 -- se introduce numele proiectului

1.4. Project Path: c:warpstudent... -- se precizeă locţia unde va fi salva proiectul

1.5. Next => Next=>Select Target Device =>

=> CPLD => Flash 370I => C372i –66JC -- se selectează circuitul CPLD care va conţine multiplexorul ;

1.6. Finish

1.7. File => New => Text files =>

=> Save as: MUX.vhd -- se deschide un fişier-text în care se va descrie în limbaj VHDL multiplexorul;

1.8. Project => Add Files To Project =>

=> MUX.vhd => Add => OK -- se ataşează fisierul text MUX.vhd la proiect

1.9. În fişierul MUX.vhd se editează sursa VHDL :

------------------------------------------------------------------------------------------------------------------------------

library ieee; --se apelează bibliotecile necesare

use IEEE.std_logic_1164.all; -- pentru descrierea circuitului

entity mux4_1 is -- se declară entitatea logică mux4_1

port ( X : in std_logic_vector(3 downto 0); -- portul cuprinde semnalele

S : in std_logic_vector(2 downto 1); -- aplicate pinilor circuitului

Y: out std_logic );

end mux4_1;

architecture arch_of_mux4_1 of mux4_1 is -- se declartă arhitectura

-- asociată entităţii logice

begin

mux4_1: process (X,S) -- portul corespunde

begin

if S="00" then Y<=X(0);

elsif S="01" then Y<=X(1);

elsif S="10" then Y<=X(2);

else Y<=X(3);

end if;

end process mux4_1;

end arch_of_mux4_1;

------------------------------------------------------------------------------------------------------------------------------

1.10. Project => Compiler Options =>

=> Timing Model => 1164/VHDL => OK -- Se va selecta opţiunea de compilare pentru simulare

funcţională a multiplexorului cu programul

Active –HDL Sim;

1.11. Compile => Project -- Se vor compila fişierele ataşate aplicaţiei MUX4_1;

1.12. Analizând fişierul MUX.rep (Wiew => Report File) determinaţi cum au fost alocate semnalele de intrare şi ieşire din multiplexor pinilor circuitului CPLD.

2. Se va simula funcţionarea multiplexorului cu programul Active – HDL Sim din pachetul de programe Warp5.1. Se vor parcurge următoarele etape:

2.1. Start Programs => Warp5.1 => Active – HDL Sim

2.2. File => New Waveform => Open VHDL => c:WARPstudentvhdMUX.vhd

–- se deschide fişierul care va fi simulat

2.3. Simulation => Initialize

2.4. Waveform => Add Signals => Name => S =>Add

Waveform => Add Signals => Name => X =>Add

Waveform => Add Signals => Name => Y =>Add -- se selectează semnalele în vederea afişării

semnalelor

2.5. Se configurează semnalele de intrare ca stimuli (generatoare de semnal) în vederea simulării:

• se selectează semnalul S (tip bus de semnale), efectuându-se dublu clic-stânga. Pe ecran vor apărea componentele busului: S(2), S(1);

• se selectează S(1), se execută clic-dreapta după care se selectează opţiunea Stimulators;

• Stimulator type => formula => enter formula (…..) =>

=> Apply => Close -- se editează stimulul S(1);

Se completează rubrica “enter formula” astfel: <value> <time>, <value> <time>,..etc. “Value” poate fi 0 sau 1. “Time” reprezintă momentul de timp în care stimulul îşi schimbă valoarea (unitatea de măsura este picosecunda).

Pentru semnalul S(1) se completeaza rubrica “enter formula” astfel:

0 0, 1 100000, 0 200000, 1 300000, 0 400000

S(1) este reprezentat grafic în figura 2.

Preview document

Limbaje de dezvoltare hardware - Pagina 1
Limbaje de dezvoltare hardware - Pagina 2
Limbaje de dezvoltare hardware - Pagina 3
Limbaje de dezvoltare hardware - Pagina 4
Limbaje de dezvoltare hardware - Pagina 5
Limbaje de dezvoltare hardware - Pagina 6
Limbaje de dezvoltare hardware - Pagina 7
Limbaje de dezvoltare hardware - Pagina 8
Limbaje de dezvoltare hardware - Pagina 9
Limbaje de dezvoltare hardware - Pagina 10
Limbaje de dezvoltare hardware - Pagina 11
Limbaje de dezvoltare hardware - Pagina 12
Limbaje de dezvoltare hardware - Pagina 13
Limbaje de dezvoltare hardware - Pagina 14
Limbaje de dezvoltare hardware - Pagina 15
Limbaje de dezvoltare hardware - Pagina 16
Limbaje de dezvoltare hardware - Pagina 17
Limbaje de dezvoltare hardware - Pagina 18
Limbaje de dezvoltare hardware - Pagina 19
Limbaje de dezvoltare hardware - Pagina 20
Limbaje de dezvoltare hardware - Pagina 21
Limbaje de dezvoltare hardware - Pagina 22
Limbaje de dezvoltare hardware - Pagina 23
Limbaje de dezvoltare hardware - Pagina 24
Limbaje de dezvoltare hardware - Pagina 25
Limbaje de dezvoltare hardware - Pagina 26
Limbaje de dezvoltare hardware - Pagina 27
Limbaje de dezvoltare hardware - Pagina 28
Limbaje de dezvoltare hardware - Pagina 29
Limbaje de dezvoltare hardware - Pagina 30
Limbaje de dezvoltare hardware - Pagina 31
Limbaje de dezvoltare hardware - Pagina 32
Limbaje de dezvoltare hardware - Pagina 33
Limbaje de dezvoltare hardware - Pagina 34
Limbaje de dezvoltare hardware - Pagina 35
Limbaje de dezvoltare hardware - Pagina 36
Limbaje de dezvoltare hardware - Pagina 37
Limbaje de dezvoltare hardware - Pagina 38
Limbaje de dezvoltare hardware - Pagina 39
Limbaje de dezvoltare hardware - Pagina 40
Limbaje de dezvoltare hardware - Pagina 41
Limbaje de dezvoltare hardware - Pagina 42
Limbaje de dezvoltare hardware - Pagina 43
Limbaje de dezvoltare hardware - Pagina 44
Limbaje de dezvoltare hardware - Pagina 45
Limbaje de dezvoltare hardware - Pagina 46
Limbaje de dezvoltare hardware - Pagina 47
Limbaje de dezvoltare hardware - Pagina 48
Limbaje de dezvoltare hardware - Pagina 49
Limbaje de dezvoltare hardware - Pagina 50
Limbaje de dezvoltare hardware - Pagina 51
Limbaje de dezvoltare hardware - Pagina 52
Limbaje de dezvoltare hardware - Pagina 53
Limbaje de dezvoltare hardware - Pagina 54
Limbaje de dezvoltare hardware - Pagina 55
Limbaje de dezvoltare hardware - Pagina 56
Limbaje de dezvoltare hardware - Pagina 57
Limbaje de dezvoltare hardware - Pagina 58
Limbaje de dezvoltare hardware - Pagina 59
Limbaje de dezvoltare hardware - Pagina 60
Limbaje de dezvoltare hardware - Pagina 61
Limbaje de dezvoltare hardware - Pagina 62
Limbaje de dezvoltare hardware - Pagina 63
Limbaje de dezvoltare hardware - Pagina 64
Limbaje de dezvoltare hardware - Pagina 65
Limbaje de dezvoltare hardware - Pagina 66
Limbaje de dezvoltare hardware - Pagina 67
Limbaje de dezvoltare hardware - Pagina 68
Limbaje de dezvoltare hardware - Pagina 69
Limbaje de dezvoltare hardware - Pagina 70
Limbaje de dezvoltare hardware - Pagina 71
Limbaje de dezvoltare hardware - Pagina 72
Limbaje de dezvoltare hardware - Pagina 73
Limbaje de dezvoltare hardware - Pagina 74
Limbaje de dezvoltare hardware - Pagina 75
Limbaje de dezvoltare hardware - Pagina 76
Limbaje de dezvoltare hardware - Pagina 77
Limbaje de dezvoltare hardware - Pagina 78
Limbaje de dezvoltare hardware - Pagina 79
Limbaje de dezvoltare hardware - Pagina 80
Limbaje de dezvoltare hardware - Pagina 81
Limbaje de dezvoltare hardware - Pagina 82
Limbaje de dezvoltare hardware - Pagina 83
Limbaje de dezvoltare hardware - Pagina 84
Limbaje de dezvoltare hardware - Pagina 85
Limbaje de dezvoltare hardware - Pagina 86
Limbaje de dezvoltare hardware - Pagina 87
Limbaje de dezvoltare hardware - Pagina 88
Limbaje de dezvoltare hardware - Pagina 89
Limbaje de dezvoltare hardware - Pagina 90
Limbaje de dezvoltare hardware - Pagina 91
Limbaje de dezvoltare hardware - Pagina 92
Limbaje de dezvoltare hardware - Pagina 93
Limbaje de dezvoltare hardware - Pagina 94
Limbaje de dezvoltare hardware - Pagina 95
Limbaje de dezvoltare hardware - Pagina 96
Limbaje de dezvoltare hardware - Pagina 97
Limbaje de dezvoltare hardware - Pagina 98
Limbaje de dezvoltare hardware - Pagina 99
Limbaje de dezvoltare hardware - Pagina 100
Limbaje de dezvoltare hardware - Pagina 101
Limbaje de dezvoltare hardware - Pagina 102
Limbaje de dezvoltare hardware - Pagina 103
Limbaje de dezvoltare hardware - Pagina 104
Limbaje de dezvoltare hardware - Pagina 105
Limbaje de dezvoltare hardware - Pagina 106
Limbaje de dezvoltare hardware - Pagina 107
Limbaje de dezvoltare hardware - Pagina 108
Limbaje de dezvoltare hardware - Pagina 109
Limbaje de dezvoltare hardware - Pagina 110
Limbaje de dezvoltare hardware - Pagina 111
Limbaje de dezvoltare hardware - Pagina 112
Limbaje de dezvoltare hardware - Pagina 113
Limbaje de dezvoltare hardware - Pagina 114
Limbaje de dezvoltare hardware - Pagina 115
Limbaje de dezvoltare hardware - Pagina 116
Limbaje de dezvoltare hardware - Pagina 117
Limbaje de dezvoltare hardware - Pagina 118
Limbaje de dezvoltare hardware - Pagina 119
Limbaje de dezvoltare hardware - Pagina 120
Limbaje de dezvoltare hardware - Pagina 121
Limbaje de dezvoltare hardware - Pagina 122
Limbaje de dezvoltare hardware - Pagina 123
Limbaje de dezvoltare hardware - Pagina 124
Limbaje de dezvoltare hardware - Pagina 125
Limbaje de dezvoltare hardware - Pagina 126
Limbaje de dezvoltare hardware - Pagina 127
Limbaje de dezvoltare hardware - Pagina 128
Limbaje de dezvoltare hardware - Pagina 129
Limbaje de dezvoltare hardware - Pagina 130
Limbaje de dezvoltare hardware - Pagina 131
Limbaje de dezvoltare hardware - Pagina 132
Limbaje de dezvoltare hardware - Pagina 133
Limbaje de dezvoltare hardware - Pagina 134
Limbaje de dezvoltare hardware - Pagina 135
Limbaje de dezvoltare hardware - Pagina 136
Limbaje de dezvoltare hardware - Pagina 137
Limbaje de dezvoltare hardware - Pagina 138
Limbaje de dezvoltare hardware - Pagina 139
Limbaje de dezvoltare hardware - Pagina 140
Limbaje de dezvoltare hardware - Pagina 141
Limbaje de dezvoltare hardware - Pagina 142
Limbaje de dezvoltare hardware - Pagina 143
Limbaje de dezvoltare hardware - Pagina 144
Limbaje de dezvoltare hardware - Pagina 145
Limbaje de dezvoltare hardware - Pagina 146
Limbaje de dezvoltare hardware - Pagina 147
Limbaje de dezvoltare hardware - Pagina 148
Limbaje de dezvoltare hardware - Pagina 149
Limbaje de dezvoltare hardware - Pagina 150
Limbaje de dezvoltare hardware - Pagina 151
Limbaje de dezvoltare hardware - Pagina 152
Limbaje de dezvoltare hardware - Pagina 153
Limbaje de dezvoltare hardware - Pagina 154
Limbaje de dezvoltare hardware - Pagina 155
Limbaje de dezvoltare hardware - Pagina 156

Conținut arhivă zip

  • Limbaje de dezvoltare hardware.doc

Alții au mai descărcat și

Microsoft Excel

Obiective: 1. Crearea, redenumirea, utilizarea şi ştergerea foilor de calcul tabelar; 2. Definirea şi formatarea celulelor; 3. Definirea...

Design-ul și Machetarea Paginilor Web

Trei reguli faţă de un sit 1. Respectarea strictă a standardelor internet. 2. Alegerea riguroasă a conţinutului paginilor web. 3. Asigurarea...

Microsoft Visual Studio C++ MFC Project

In Microsoft Visual Studio cream C++  MFC Project , cu un sindur document. Aici vom incerca sa interpretam cu ajutorul graficii 2D, grafica 3D...

Criptarea Textelor cu Ajutorul Algoritmului Caesar și Affine

Affine: Cifrul afin este un tip de cifru de substitutie monoalphabetica. în care fiecare literă într-un alfabet este mapat la echivalentul său...

Excel - Baze de Date

CALCUL TABELAR. PROCESOARE DE TABELE. EXCEL Prezentare generală a calculului tabelar Procesoarele de calcul tabelar sau generatoarele de foi de...

Testarea Sistemelor de Calcul

1. Se consideră circuitul din figura 2.1 Să se calculeze un set complet de teste pentru defectul G1 b-l-1. Utilizaţi pentru calculul testului atât...

Tehnici de Programare a Datelor

1. Care este diferenta intre un semnal continuu si un semnal continuu cuantificat? In functie de evolutia temporala semnalele se clasifica in...

Probleme Programare

Sa se scrie o functie care calculeaza cel mai mare divizor comun dintre 2 nr numere intregi nenule, utilizand algoritmul lui Euclid. /* CMMDC */...

Te-ar putea interesa și

Aplicarea sistemelor informaționale în farmacologie

INTRODUCERE În viaţa noastră de zi cu zi, calculatoarele sunt ceva obişnuit, ba chiar indispensabil în unele cazuri. Se poate spune, pe drept...

Sisteme de operare

Argument În general, interacţiunea dintre calculator şi utilizator poate fi descrisa la nivel simplist astfel: primul lansează în execuţie...

Fire de Execuție Java

INTRODUCERE Java este un limbaj de programare orientat-obiect, puternic tipizat, conceput de către James Gosling la Sun Microsystems (acum filială...

Sisteme de Operare

1.PREZENTARE GENERALA Sistemul de operare reprezinta ansamblul de programe care asigura utilizarea optima a resurselor fizice si logice ale unui...

Program pentru evidență materiale

1.1. Prezentarea temei  Enuntul problemei Programul pentru evidenta materialelor a fost realizat pentru a permite gestionarea facila a...

Legătura dintre C și C#

Legatura dintre C si C# Merita sa facem un studiu despre istoria C-ului, deoarece va dezvalui filozofia de succes a modelului limbajului de...

Plăci de extensie

Argument In prima jumatate a secolului al XX-lea, nevoile de calcul ale comunitatii stiintifice erau satisfacute de calculatoare analoage, foarte...

VHDL

Simularea structurilor hardware digitale cu ACTIVE-HDL Această lucrare are ca principal obiectiv prezentarea mediului de dezvoltare ALDEC ACTIVE...

Ai nevoie de altceva?