Operatori Verilog

Curs
8/10 (1 vot)
Domeniu: Electronică
Conține 1 fișier: pdf
Pagini : 26 în total
Cuvinte : 1767
Mărime: 192.90KB (arhivat)
Publicat de: Teea Stroe
Puncte necesare: 0

Extras din curs

Verilog Operators Operate On Several Data Types To Produce An Output

I Not All Verilog Operators Are Synthesible (Can Produce Gates)

I Some Operators Are Similar To Those In The C Language

I Remember, You Are Making Gates, Not An Algorithm (In Most Cases)

Verilog - Operators

Arithmetic Operators

I There Are Two Types Of Operators: Binary And Unary

I Binary Operators:

I Add(+), Subtract(-), Multiply(*), Divide(/), Power(**), Modulus(%)

//Suppose That: A = 4’b0011;

// B = 4’b0100;

// D = 6; E = 4; F = 2;

//Then,

A + B //Add A And B; Evaluates To 4’b0111

B - A //Subtract A From B; Evaluates To 4’b0001

A * B //Multiply A And B; Evaluates To 4’b1100

D / E //Divide D By E, Evaluates To 4’b0001. Truncates Fractional Part

E ** F //Raises E To The Power F, Evaluates To 4’b1111

//Power Operator Is Most Likely Not Be Synthesible

If Any Operand Bit Has A Value ”x”, The Result Of The Expression Is All ”x”.

If An Operand Is Not Fully Known The Result Cannot Be Either.

Verilog - Operators

Arithmetic Operators (Cont.)

Modulus Operator Yields The Remainder From Division Of Two Numbers

It Works Like The Modulus Operator In C

May Or May Not Be Synthesible

3 % 3; //Evaluates To 1

16 % 4; //Evaluates To 0

-7 % 2; //Evaluates To -1, Takes Sign Of First Operand

7 % -2; //Evaluates To -1, Takes Sign Of First Operand

Verilog - Operators

Arithmetic Operators (Cont.)

I Unary Operators

I Operators ”+” And ”-” Can Act As Unary Operators

I They Indicate The Sign Of An Operand

I.E., -4 // Negative Four

+5 // Positive Five

!!! Negative Numbers Are Represented As 2’s Compliment Numbers !!!

!!! Use Negative Numbers Only As Type Integer Or Real !!!

!!! Avoid The Use Of <Sss>’<Base><Number >In Expressions !!!

!!! These Are Converted To Unsigned 2’s Compliment Numbers !!!

!!! This Yields Unexpected Results In Simulation And Synthesis !!!

Preview document

Operatori Verilog - Pagina 1
Operatori Verilog - Pagina 2
Operatori Verilog - Pagina 3
Operatori Verilog - Pagina 4
Operatori Verilog - Pagina 5
Operatori Verilog - Pagina 6
Operatori Verilog - Pagina 7
Operatori Verilog - Pagina 8
Operatori Verilog - Pagina 9
Operatori Verilog - Pagina 10
Operatori Verilog - Pagina 11
Operatori Verilog - Pagina 12
Operatori Verilog - Pagina 13
Operatori Verilog - Pagina 14
Operatori Verilog - Pagina 15
Operatori Verilog - Pagina 16
Operatori Verilog - Pagina 17
Operatori Verilog - Pagina 18
Operatori Verilog - Pagina 19
Operatori Verilog - Pagina 20
Operatori Verilog - Pagina 21
Operatori Verilog - Pagina 22
Operatori Verilog - Pagina 23
Operatori Verilog - Pagina 24
Operatori Verilog - Pagina 25
Operatori Verilog - Pagina 26

Conținut arhivă zip

  • Operatori Verilog.pdf

Alții au mai descărcat și

Metode reprezentare a mișcării

1. Aspecte generale ale compresiei semnalelor video Compresia video poate fi vazuta ca o compresie de imagini, specifica fiecarui cadru, cu o...

Microcontrolerul 80C51

1. Caracteristici 80C51 este un microcontroler vechi dar foarte raspîndit. Este necesara cunoasterea sa întrucît el a pus bazele unei familii de...

Sisteme de Radiocomunicații

1. Notiuni generale 1.1. Sistemul de radiocomunicatie - în cadrul sistemului de radiocomunicatie, transmiterea informatiei se realizeaza...

Analiza și Sinteza Dispozitivelor Numerice

Curs 1 CAPITOLUL I ELEMENTE DE ALGEBRA BOOLEANA 1.1. Generalitati Transferul, prelucrarea si pastrarea datelor numerice sau nenumerice în...

Compresia și Securitatea Datelor

1. Introducere Notiunea de compresia datelor a aparut pe la 1940 prin lucrarile lui Shanon si Fano care au dezvoltat un algoritm eficient de...

Fiabilitate

CAPITOLUL 1 INTRODUCERE Fiabilitatea este o disciplina din domeniul ingineriei care utilizeaza cunostinte stiintifice pentru asigurarea unor...

Electronică medicală

1. INVESTIGAREA SI TERAPIA SISTEMULUI CARDIOVASCULAR 1.1 Electrocardiografie Electrocardiografia (ECG) este tehnica masurarii si reprezentarii...

Te-ar putea interesa și

Mașina de găurit automată

Introducere - Obiective Obiectivul acestui proiect este de a construi o maşină de gaurit automata controlata de un controler bazat pe FPGA, care...

Proiect modul de transmisie serială UART

1. Port Serial (UART) – Tx Sa se scrie modelul Verilog al unui modul de transmisie seriala uart_tx.v si un modul de test testbench_tx.v...

Structuri și sisteme de calcul întrebări lucrare intersemestrială

Sa se prezinte succint evoluţia sistemelor numerice, cu specificarea succintă a tehnologiilor utilizate. Generaţia I ( 1946-1956 ) -Hardware...

Proiectare cu VLSI - VLSI Design

Circuitele integrate VLSI reprezintă vârful tehnologiei electronicii în domeniul integrării. Denumirea provine de la expresia Very Large Scale...

Elementele Limbajului Verilog

Limbajul verilog este organizat pe mai multe nivele din care fac parte: a)nivelul inferiorcare permite descrierea amplasarii si conectarii...

Ai nevoie de altceva?