Simularea unei intersecții semaforizate folosind VHDL

Laborator
8/10 (1 vot)
Domeniu: Transporturi
Conține 1 fișier: pdf
Pagini : 21 în total
Cuvinte : 1473
Mărime: 1.27MB (arhivat)
Publicat de: Dragomir Achim
Puncte necesare: 0

Extras din laborator

Obiective:

Dupa terminarea acestui exercitiu de laborator se dobandesc cunostintele necesare pentru a

proiecta, simula, si implementa un semafor de trafic folosind VHDL.

Referinte:

Dueck Robert K., „Digital Design with CPLD Applications and VHDL”.

Capitolul 10: Designul masinii algoritmice de stare

10.3 Masini algoritmice de stare cu semnale de control

10.6 Semaforul de trafic

Materiale necesare:

FPGA Spartan 3

Xilinx ISE

Modeltech ModelSim

Note experimentale:

Proiectarea masinii algoritmice de stare consta intr-un circuit secvential sincron a carui

stare progreseaza in functie de proiectul mostenit al masinii si posibil in conformitate cu starea

unui semnal de control.

O masina algoritmica de stare, comuna, este semaforul de trafic.O diagrama de stare si o

descriere a operarii acestei masini este data in sectiunea 10.6 a manualului „Digital Design with

CPLD Applications and VHDL”.

Procedeul experimental:

Un semafor de trafic simplu poate fi implementat cu ajutorul unei masini algoritmice de

stare care are o diagrama de stare asemanatoare celei din figura 30.1.

Circuitul detine control asupra drumului Nord-Sud si al celui Est-Vest. Luminile de la NS

sunt controlate cu ajutorul iesirilor nsr, nsy si nsg(NS rosu, NS galben, NS verde). Drumul EV este

controlat cu ajutorul iesirilor evr, evy si evg, intr-un mod similar.

Ciclul este controlat cu ajutorul unei intrari denumite TIMER(cronometru) care controleaza

lungimea a doua cicluri de verde (s0 reprezinta EV verde; s2 reprezinta NS verde). Cand

cronometrul TIMER=1, o translatie de la s0 la s1 sau de la s2 la s3 este posibila. Aceasta translatie

este acompaniata de o schimare a culorii semaforului de la verde la galben, pe drumul activ.

Figura 30.1 Schema de stare pentru un semafor de trafic

Semaforul de pe celalat drum ramane rosu. O translatie neconditionata urmeaza, schimband

lumina semaforului din galben in rosu pe un drum iar pe celalat lumina rosie devine verde.

Iesirile din diagrama de stare a figurei 30.1 sunt indicate ca fiind 0-logic-activ, care este

potrivit pentru placile Spartan 3.

Ciclul poate fi setat sa aiba orice durata prin schimbarea semnalului dat de intrarea TIMERului.

Lumina galbena a semaforului va fi aprinsa, indiferent de setari timp de un puls de ceas.

Pentru fiecare observatie vom folosi un ciclu de 10 implusuri de ceas pentru fiecare drum:

• 4 impulsuri verde

• 1 impuls galben

• 5 impulsuri rosu

Preview document

Simularea unei intersecții semaforizate folosind VHDL - Pagina 1
Simularea unei intersecții semaforizate folosind VHDL - Pagina 2
Simularea unei intersecții semaforizate folosind VHDL - Pagina 3
Simularea unei intersecții semaforizate folosind VHDL - Pagina 4
Simularea unei intersecții semaforizate folosind VHDL - Pagina 5
Simularea unei intersecții semaforizate folosind VHDL - Pagina 6
Simularea unei intersecții semaforizate folosind VHDL - Pagina 7
Simularea unei intersecții semaforizate folosind VHDL - Pagina 8
Simularea unei intersecții semaforizate folosind VHDL - Pagina 9
Simularea unei intersecții semaforizate folosind VHDL - Pagina 10
Simularea unei intersecții semaforizate folosind VHDL - Pagina 11
Simularea unei intersecții semaforizate folosind VHDL - Pagina 12
Simularea unei intersecții semaforizate folosind VHDL - Pagina 13
Simularea unei intersecții semaforizate folosind VHDL - Pagina 14
Simularea unei intersecții semaforizate folosind VHDL - Pagina 15
Simularea unei intersecții semaforizate folosind VHDL - Pagina 16
Simularea unei intersecții semaforizate folosind VHDL - Pagina 17
Simularea unei intersecții semaforizate folosind VHDL - Pagina 18
Simularea unei intersecții semaforizate folosind VHDL - Pagina 19
Simularea unei intersecții semaforizate folosind VHDL - Pagina 20
Simularea unei intersecții semaforizate folosind VHDL - Pagina 21

Conținut arhivă zip

  • Simularea unei Intersectii Semaforizate Folosind VHDL.pdf

Alții au mai descărcat și

Sistem de Transport Combinat auto-cale Ferată pentru Containere

TEMA PROIECTULUI Să se proiecteze un sistem de transport combinat auto-cale ferată pentru containere, în următoarele condiţii: - cantitatea...

Reconstituirea accidentelor de circulație

PARTEA I ELEMENTE DE DINAMICA ACCIDENTULUI 1.1. Cercetarea retrospective a accidentelor de circulatie: Scopul cercetarii Accidentul de...

Calculul Indicatorilor pentru un Parc Auto de 60 de Autobuze

ARGUMENT Lucrarea de faţă urmăreşte să precizeze noţiunile teoretice privind exploatarea automobilelor, să arate căile, mijloacele şi procedeele...

Sisteme Inteligente de Management al Traficului și Monitorizare a Drumurilor

Lucrarea de faţă prezintă aplicaţii de gestiune a traficului şi monitorizare a drumurilor folosind ultimele generaţii de sisteme IT şi de...

Identificarea unei intersecții din capitală și prezentarea acesteia

Introducere În mod cert, evoluţia în timp a sistemelor de transport s-a realizat ca răspuns la cerinţele societăţii. Nevoilor tot mai accentuate...

Despre sistemul Unda Verde

Capitolul 1 1.1Introducere Sistemele de reglare a circulatiei reprezinta ansambluri functionale caracterizate prin existenta unor puncte mobile...

Sistemele informaționale geografice

Ce este GIS? GIS este acronimul provenit de la Geographic Information System (Sistem Informatic Geografic - uneori tradus în forma SIG în limba...

Alegerea Modală

Etapa de alegere modală, în cadrul modelului de estimare a cererii (Modelul în patru paşi), clasifică matricea iniţială origine destinaţie (O-D)...

Ai nevoie de altceva?