Proiect Verilog

Proiect
8/10 (1 vot)
Domeniu: Automatică
Conține 1 fișier: doc
Pagini : 24 în total
Cuvinte : 4634
Mărime: 329.98KB (arhivat)
Publicat de: Luca Croitoru
Puncte necesare: 8
Profesor îndrumător / Prezentat Profesorului: Iuliana Chiuchisan
UNIVERSITATEA „ŞTEFAN CEL MARE” SUCEAVA FACULTATEA DE INGINERIE ELECTRICĂ MASTERAT ICCP

Cuprins

  1. Prezentarea temei 3
  2. Cap.1 Notiuni teoretice despre familia de circuite programabile FPGA 5
  3. Cap.2 Notiuni teoretice despre limbajul Verilog 8
  4. Cap.3 Modelarea circuitului propus folosind programul ModelSim 13
  5. Cap.4 Rezultatele simularii codului 20
  6. Cap.5 Concluzii 22
  7. Bibliografie 24

Extras din proiect

Numarator 4 biti- Counter

Intrari:

- Clk -intrare de ceas, ale carei fronturi sunt numerate;

- Reset -intrare de reset, active pe nivel ridicat;

- Up -comanda de numarare crescatoare;

- Down -comanda de numarare descrescatoare.

Iesiri:

- Out -iesire de numarare pe 4 biti;

- Err -iesire de eroare, active pe nivel ridicat; indica faptul ca ambele comenzi de numarare (Up si Down) sunt active simultan.

Registru de deplasare pe 4 biti- Shifter

Intari:

- Clk -intare de ceas, pe ale carei fronturi se face deplasarea la stanga sau la dreapta;

- In -intrare de date pe 4 biti;

- Left -intrare de comanda, cand este 1, deplasarea se face catre stanga, altfel se face catre dreapta.

Iesiri:

- Out -iesire deplasata pe 4 biti.

Registrul 4 biti- Reg

Intrari:

- Latch -intare de memorare, oe front negative, registrul memoreaza intarea si o afiseaza la iesire;

- In -intrare de date pe 4 biti;

- OE -comanda de activare iesire. Daca este 0, iesirea este plasata in starea de inalta impedanta;

Iesiri:

- Out -iesire de date pe 4 biti.

Sumator 2x4 biti- Sum2x4

Intrari:

- Cin -intrare de transport pe 1 bit;

- In1 -prima intrare pe 4 biti;

- In2 -a doua intrare pe 4 biti.

Iesiri:

- Out -iesire pe 4 biti;

- Cout -iesire de transport.

Cap.1

Notiuni teoretice despre familia de circuite programabile FPGA

Circuitele logice programabile, cunoscute şi sub forma acronimului PLD (Programmable Logic Device), sunt circuite integrate care conţin un număr mare de porţi sau celule a căror interconexiune poate fi configurată sau “programată” pentru a implementa orice funcţie combinaţională sau secvenţială dorită. Pentru programarea circuitelor PLD se utilizează două tehnici: programarea prin măşti, care se efectuează în timpul procesului de fabricaţie, sau programarea de către utilizator, pentru care se utilizează echipamente de programare cu costuri reduse. Multe circuite PLD pot fi reprogramate de utilizator de multe ori, motiv pentru care ele sunt avantajoase pentru realizarea prototipurilor unui nou produs.

Circuitele FPGA (Field Programmable Gate Array) au fost introduse în anul 1985 de compania Xilinx. De atunci au fost elaborate diferite tipuri de circuite FPGA de un număr de alte companii ca Actel, Altera, Atmel, Texas Instruments etc.

Structura unui circuit FPGA tipic.

Circuitele FPGA (Field-Programmable Gate Array) sunt circuite integrate programabile de cãtre utilizator care permit un acces rapid la circuite VLSI configurabile. Un circuit FPGA constã dintr-o retea de celule logice care pot fi interconectate prin comutatoare de rutare programabile. Circuitele FPGA combinã facilitãtile retelelor de porti programabile prin mãsti MPGA (Mask Programmable Gate Array) si a dispozitivelor logice programabile PLD (Programmable Logic Device). De la circuitele MPGA s-a adoptat structura retelei bidimensionale de celule logice, iar de la circuitele PLD s-a preluat programabilitatea de cãtre utilizator.

Dupã introducerea lor de cãtre firma Xilinx [177], circuitele FPGA au evoluat în mod considerabil pe mãsurã ce au fost dezvoltate diferite noi tipuri de dispozitive [6], [7], [26], [27], [30], [157], [160], [178]. Utilizarea circuitelor FPGA s-a rãspândit pe scarã largã, ceea ce se datoreazã duratei reduse de productie si costului relativ redus al acestor dispozitive programabile. Reprezentând un mediu de implementare pentru circuite VLSI configurabile, circuitele FPGA oferã urmãtoarele avantaje fatã de tehnologiile alternative (MPGA, celule standard, macro-celule):

Preview document

Proiect Verilog - Pagina 1
Proiect Verilog - Pagina 2
Proiect Verilog - Pagina 3
Proiect Verilog - Pagina 4
Proiect Verilog - Pagina 5
Proiect Verilog - Pagina 6
Proiect Verilog - Pagina 7
Proiect Verilog - Pagina 8
Proiect Verilog - Pagina 9
Proiect Verilog - Pagina 10
Proiect Verilog - Pagina 11
Proiect Verilog - Pagina 12
Proiect Verilog - Pagina 13
Proiect Verilog - Pagina 14
Proiect Verilog - Pagina 15
Proiect Verilog - Pagina 16
Proiect Verilog - Pagina 17
Proiect Verilog - Pagina 18
Proiect Verilog - Pagina 19
Proiect Verilog - Pagina 20
Proiect Verilog - Pagina 21
Proiect Verilog - Pagina 22
Proiect Verilog - Pagina 23
Proiect Verilog - Pagina 24

Conținut arhivă zip

  • Proiect Verilog.doc

Alții au mai descărcat și

Limbajul VHDL

1.Limbajul VHDL Limbajul VHDL este unul dintre limbajele standard folosite pentru a descrie sistemele numerice.VHDL – Very Hight Speed Integrated...

Modelarea Matlab-Simulink a Unei Sere

Cunoasterea duratei de timp de la semanat pâna la rasaritul plantelor mai are însemnatate si pentru obtinerea unor productii cat mai timpurii. Daca...

Circuite logice secvențiale

In multe aplicatii este nevoie de un element care sa prezinte 2 stari diferite, cu posibilitatea de a trece dintr-o stare in cealalta, fara sau in...

Proiectare conceptuală

Cerintele sistemului operational Odata ce a fost definita nevoia si abordarea tehnica, e necesar sa le tranlatam intr-un “scenariu...

Te-ar putea interesa și

Studiul și Proiectarea Redresoarelor prin Simularea și Proiectarea Asistată

Cap.1. Notiuni Generale 1.1.Schema bloc a unui redresor Pentru alimentarea aparaturii electronice sunt necesare surse de energie de curent...

Echipamente Radio definite prin Software

Introducere în Echipamente Radio definite prin Software (SDR) 1.1Introducere În ultimul deceniu al secolului 20, tehnologia SDR a parcurs o...

Mașina de găurit automată

Introducere - Obiective Obiectivul acestui proiect este de a construi o maşină de gaurit automata controlata de un controler bazat pe FPGA, care...

Efectuarea lucrărilor de laborator cu Multisim

ÎNTRODUCERE Odată cu apariţia compiuterelor lucrul omului a fost considerabil uşurat, devenind în majoritatea domeniilor mult mai progresiv şi mai...

Proiectarea unui Procesor în Limbajul Descriptiv Verilog

Noţiuni teoretice Procesoare Ce se întâmplă atunci când cuplăm în buclă două automate pentru a realiza cea de a treia categorie de SO-3? Depinde...

Structuri și sisteme de calcul întrebări lucrare intersemestrială

Sa se prezinte succint evoluţia sistemelor numerice, cu specificarea succintă a tehnologiilor utilizate. Generaţia I ( 1946-1956 ) -Hardware...

Grile CID 2011

Starea interna a unui sistem digital este: a) un element al multimii starilor sistemului digital b) dependenta de intrarile si iesirile...

VHDL

Simularea structurilor hardware digitale cu ACTIVE-HDL Această lucrare are ca principal obiectiv prezentarea mediului de dezvoltare ALDEC ACTIVE...

Ai nevoie de altceva?